Skip to content

Commit

Permalink
feat: update project tt_um_chip_rom from TinyTapeout/tt-chip-rom
Browse files Browse the repository at this point in the history
Commit: e22570f04abf0fd86c947af862c72f491abb1716
Workflow: https://github.com/TinyTapeout/tt-chip-rom/actions/runs/9444423792
  • Loading branch information
TinyTapeoutBot authored and urish committed Sep 7, 2024
1 parent f507239 commit b42f682
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion projects/tt_um_chip_rom/commit_id.json
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
"repo": "https://github.com/TinyTapeout/tt-chip-rom",
"commit": "e22570f04abf0fd86c947af862c72f491abb1716",
"workflow_url": "https://github.com/TinyTapeout/tt-chip-rom/actions/runs/9444423792",
"sort_id": 1718006002578,
"sort_id": 1725704276573,
"openlane_version": "OpenLane2 2.0.8",
"pdk_version": "open_pdks bdc9412b3e468c102d01b7cf6337be06ec6e9c9a"
}

0 comments on commit b42f682

Please sign in to comment.